The VLSI Workshop sessions are key elements of the 2024 IEEE Symposium on VLSI Technology & Circuits program. The Workshop sessions will be held during the VLSI Symposium in person and available for on demand viewing. The topics and scope of the Workshops are merging research and application, which has not been covered in detail in the technical program of the symposium and could be the future new areas of the symposium. 

Sunday, June 16 – 1:00 p.m. – 6:00 p.m.

Technology Workshop 1 – Tapa 1

1:00 PM – 3:00 PM

3D Integration for Analog and RF

Information to come

Technology Workshop 2 – Tapa 1

3:15 PM – 6:00 PM

Novel Metals for Advanced Interconnects

Organizer: Christoph Adelmann, Zsolt Tőkei, imec

An industry perspective of beyond Cu, alternative metal interconnects

Speaker: Christopher Jezewski, Intel

Replacing Tungsten and Copper by Molybdenum in logic technology

Speaker: Vamsi Paruchuri, ASM International

The search for new materials for interconnects < 10 nm

Speaker: Daniel Gall, Rensselaer Polytechnic Institute

Opportunities and challenges of intermetallic compounds for future interconnects

Speaker: Junichi Koike, Tohoku University

Intercalated Graphene for Advanced Interconnects

Speaker: Kaustav Banerjee, Destination 2D and University of California Santa Barbara

Interconnect Technology/System Co-Design for VLSI Logic and Memory Systems

Speaker: Chenyun Pan, University of Texas at Arlington

Standing Workshop – Tapa 2

Advancing SoC Design: Open-Source and ML-Driven Approaches in the Cloud

1:00 PM – 5:00 PM

SCloud bursting an EDA workload with ML-driven technique for future SoC development

Speaker: Wachirawit Ponghiran and Jinwook Jung, IBM Research 

Generative AI-based EDA for SoC

Speaker: Rongjian Liang, NVIDIA

Chipyard: An Open-Source Design, Simulation, and Implementation Framework for Custom RISC-V SoCs

Speaker: Sagar Karandikar, UC Berkeley

ESP: An Open-Source Platform for Agile SoC Design

Speaker: Luca Carloni, Columbia University

AMS generation frameworks: an industry perspective

Speaker: Cooper Levy, Intel

Agile SoC Design With OpenROAD and Proprietary Flows: A Retrospective

Speaker: Austin Rovinski, NYU

Agile-X: democratization base of innovative semiconductor technology

Speaker: Makoto Ikeda, University of Tokyo

Freshmen through Ph.D. students experience open-source tape-out: successes and pitfalls

Speaker: Mark Johnson, Purdue University

Circuits Workshop 1 – Honolulu 1-3

1:00 PM – 3:00 PM

High-performance mixed-signal circuits: recent art balancing the analog vs. digital effort

Introduction

Speaker: Jorge Lagos, imec and Tetsuya Iizuka, The University of Tokyo

PLLs

Speaker: Bogdan Staszewski, UCD

DACs

Speaker: Martin Clara, Intel

ADCs

Speaker: Huseyin Dinc, Analog Devices

Circuits Workshop 2 – Honolulu 1-3

3:30 PM – 5:30 PM

BioSensory Breakthroughs: Pioneering the Future of Health Tech

Injectable Biosensors: Is there a future?

Speaker: Drew Hall, UCSD

Low-Power AI-Driven Neural Interfaces for Treating Brain Disorders

Speaker: Mahsa Shoaran, EPFL

Low-Power Bioimpedance Measurement Techniques for Sensing and Imaging

Speaker: Minkyu Je, KAIST

Silicon Device based miniaturized biosensors for Healthcare & IoT

Speaker: Sufi Zafar, IBM

CMOS bioelectronics for volumetrically efficient implantables

Speaker: Ken Shepard, Columbia University

A Battery-free Sticker-like Reader for Wireless Passive Sensors

Speaker: Ada Poon, Stanford University